Chemistry of ALD – A Textbook

You can buy it here, and the Amazon author page can be found here.

I hope it to be the backbone of a course on ALD chemistry; it isn’t comprehensive with respect to precursors or surface chemistry but rather goes through the core concepts of understanding ALD from a chemistry point of view.

2023

P6. Barry, S. T.; Bačić, G.; Dezelah, C.; Blanquart, T.; Vervuurt, R. H. J.; Gordon P. G. Vapor deposition processes, reactants and deposition assemblies. Patent Application 2023.
No.: US18/190,542
102. Lawford, K. G.; Land, M. A.; Goodwin, E.; Robertson, K. N.; Barry, S. T. Synthesis, Characterization, and Single-Crystal X‑ray Structures of Refractory Metal Compounds as Precursors for the Single-Source Chemical Vapor Deposition of Metal Nitrides. Inorg. Chem. 2023 62, 21061–21073.
DOI: 10.1021/acs.inorgchem.3c02841
Preprint DOI: 10.26434/chemrxiv-2023-sq846
P5. Crudden, C. M.; Barry, S. T.; Ragogna, P. J.; Singh, I.; Veinot, A. R.; Goodwin, E. R.; Gordon, P. G.; Zhang, T.; McBreen, P.; Lomax, J. Method of Selective Deposition of Small Molecules on Metal Surfaces. Patent Application 2023.
No.: US18/137,538
101. Land, M. A.; Lomax, J. T.; Barry, S. T. Low-Temperature, Single-Source, Chemical Vapor Deposition of Molybdenum Nitride Thin Films. J. Vac. Sci. Technol. A 2023 41, 053403.
DOI: 10.1116/6.0002830
Preprint DOI: 10.26434/chemrxiv-2023-f5gck
100. Land, M. A.; Robertson, K. N.; Cyburne, J.; Barry, S. T. Disturbance of Intermolecular Forces: Eutectics as a New Tool for the Preparation of Vapor-Phase Deposition Precursors. Phys. Chem. Chem. Phys. 2023 25, 8336-8340.
DOI: 10.1039/D2CP05341A
Preprint DOI: 10.26434/chemrxiv-2022-g0bg9
99. Varga, A. C.; Barry S. T. Reversible alteration of 3D printed polymer properties via infiltration of alumina by atomic layer deposition. J. Vac. Sci. Technol. A  2023 41, 022403.
DOI: 10.1116/6.0002397
98. Lomax, J. T.; Goodwin, E.; Gordon, P. G.; McGuiness, C.; De Campo, F.; Barry, S. T.; Ragogna, P. J. Plasma-Enhanced Molecular Layer Deposition of Phosphane–Ene Polymer Films. Chem. Mater. 2023, 35, 1579–1585.
DOI: 10.1021/acs.chemmater.2c03036
Preprint DOI:10.26434/chemrxiv-2022-20mll
97. Land, M. A.; Dimova, D.; Robertson, K. N.; Barry, S. T. Cut-and-Pasting Ligands: The Structure/Function Relationships of a Thermally Robust Mo(VI) Precursor. J. Vac. Sci. Technol. A 2023 41, 012403.
DOI:10.1116/6.0002254
Preprint DOI: 10.26434/chemrxiv-2022-d8gfc

2022

Samii, R.; Fransson, A.; Zanders, D.; Varga, A.; Barry, S. T.; Ojamäe, L.; Kessler, V.; O’Brien, N. Synthesis, Structure and Thermal Properties of Volatile Group 11 Triazenides as Potential Precursors for Vapor Deposition. ChemRxiv 2022.
Preprint DOI: 10.26434/chemrxiv-2022-33s1j
96. Land, M. A.; Bačić, G.; Robertson, K. N.; Barry, S. T. The Origin of Decomposition in a Family of Molybdenum Precursor Compounds. Inorg. Chem. 2022 42, 16607–16621.
DOI: 10.1021/acs.inorgchem.2c01967
Preprint DOI: 10.26434/chemrxiv-2022-4gs50
95. Zanders, D.; Masuda, J. D.; Lowe, B.;  Curtis, S.; Devi, A.; Barry, S. T. An Unusual Tri-coordinate Co(II) Silylamide with Potential for Chemical Vapor Deposition Processes. Z. Anorg. Allg. Chem. 2022, e202200249.
DOI: 10.1002/zaac.202200249
94. Popov, G.; Bačić, G.; Van Dijck, C.; Junkers, L. S.; Weiß, A.; Mattinen, M.; Vihervaara, A.; Chundak, M.; Jalkanen, P.; Mizohata, K.; Leskela, M.; Masuda, J. D.; Barry, S. T.; Ritala, M.; Kemell, M. L. Atomic Layer Deposition of PbCl2, PbBr2 and mixed lead halide (Cl, Br, I) PbXnY2-n thin films. DaltonTrans. 2022 51, 15142-15157.
DOI: 10.1039/D2DT02216H
93. Wree, J.-L.; Glauber, J.-P.; Zanders, D.; Rogalla, D.; Becher, M.; Griffiths, M. B. E.; Ostendorf, A.; Barry, S. T.; Ney, A.; Devi A. Ferromagnetic Cobalt Disulfide: A CVD Pathway Toward High-Quality and Phase-Pure Thin Films. ACS Appl. Electron. Mater. 2022 4, 3772–3779.
DOI: 10.1021/acsaelm.2c00685
92. Veinot, A. J.; Griffiths, M. B. E.; Singh, I.; Zurakowski, J. A.;  Lummis, P. A.; Barry, S. T.;  Crudden, C. M. Evaluating the thermal behaviour of benzimidazolylidene sources for thin-film applications. Mater. Adv. 2022 3, 6446-6450.
DOI: 10.1039/D2MA00413E
91. (Invited) Barry, S. T.; Gordon, P. G.; Vandalon, V. Common Precursors and Surface Mechanisms for Atomic Layer Deposition. Comprehensive Organometallic Chemistry IV 2022, 14, 534-552.
DOI: 10.1016/B978-0-12-820206-7.00117-7
90. Land, M. A.; Bačić, G.; Robertson, K. N.; Barry, S. T. Thermal Stability and Decomposition Pathways in Volatile Molybdenum(VI) Bis-Imides. Inorg. Chem. 2022 61, 4980–4994.
DOI: 10.1021/acs.inorgchem.1c03817
Preprint DOI: 10.26434/chemrxiv-2021-pgfm8
89. Varga, A. C.; Barry, S. T. Modified 3D-Printed Architectures: Effects of Coating by Alumina on ABS. J. Vac. Sci. Technol. A 2022 40, 022407.
DOI: 10.1116/6.0001595

2021

B1. Barry, S. T.; Chemistry of Atomic Layer Deposition, De Gruyter, 2021.
Website: www.degruyter.com
88. Zanders, D.; Boysen, N.; Land, M. A.; Obenlüneschloß, J.; Masuda, J. D.; Mallick, B.; Barry, S. T.; Devi, A. Co(II) Amide, Pyrrolate, and Aminopyridinate Complexes: Assessment of their Manifold Structural Chemistry and Thermal Properties Eur. J. Inorg. Chem. 2021, p. 5119–5136.
DOI: 10.1002/ejic.202100851
Preprint DOI: 10.33774/chemrxiv-2021-xf2d1
87. Samii, R.; Zanders, D.; Fransson, A.; Bačić, G.; Barry, S. T.; Ojamäe, L.; Kessler, V; Pedersen, H.; O’Brien, N. Synthesis, Characterization and Thermal Study of Divalent Germanium, Tin and Lead Triazenides as Potential Vapor Deposition Precursors. Inorg. Chem. 2021 60, 12759–12765.
DOI: 10.1021/acs.inorgchem.1c00695
Preprint DOI: 10.26434/chemrxiv.14176718.v1
86. Pedersen, H.; Barry, S. T.; Sundqvist, J. Green CVD — Toward a sustainable philosophy for thin film deposition by chemical vapor deposition. J. Vac. Sci. Technol. A 2021 39, 051001.
DOI: 10.1116/6.0001125
85. Buttera, S.C.; Rouf, P.; Deminskyi, P.; O’Brien, N. J.; Pedersen, H.; Barry, S. T. Resolving Impurities in Atomic Layer Deposited Aluminum Nitride through Low Cost, High-Efficiency Precursor Design. Inorg. Chem. 2021 60, 11025–11031.
DOI: 10.1021/acs.inorgchem.1c00731
Preprint DOI: 10.26434/chemrxiv.13129910.v1
84. Zanders, D.; Liu, J.; Obenlüneschloß, J.; Bock, C.; Rogalla, D.; Mai, L.; Nolan, M.; Barry, S. T.; Devi, A. Cobalt Metal ALD: Understanding the Mechanism and Role of Zinc Alkyl Precursors as Reductants for Low Resistivity Co Thin Films. Chem. Mater. 2021 33, 5045–5057.
DOI: 10.1021/acs.chemmater.1c00877
Preprint DOI: 10.26434/chemrxiv.14206619.v1
83. Griffiths, M. B. E.; Zanders, D.; Land, M. A.; Masuda, J. D.; Devi, A.; Barry, S. T. (tBuN)SiMe2NMe2 – a new N,N’κ2-monoanionic ligand for atomic layer deposition precursors. J. Vac. Sci. Technol. A  2021 39, 032409.
DOI: 10.1116/6.0000795
82. Griffiths, M. B. E.; Dubrawski, Z. S.; Gordon, P. G.; Junige, M.; Barry, S. T. Thermal Ranges and Figures of Merit for Gold-Containing Precursors For ALD.J. Vac. Sci. Technol. A 2021 39, 022401.
DOI: 10.1116/6.0000707
Preprint DOI: 10.26434/chemrxiv.13077386.v1

2016 – 2020

81. Popov, G.; Bačić, G.; Mattinen, M.; Manner, M.; Lindström, H.; Seppänen, H.; Suihkonen, S.; Vehkamäki, M.; Kemell, M.; Jalkanen, P.; Mizohata, K.; Räisänen, J.; Leskelä, M.; Koivula, H. M.; Barry, S. T.; Ritala, M. Atomic Layer Deposition of PbS Thin Films at Low Temperatures. Chem. Mater. 2020 32, 8216–8228.
DOI: 10.1021/acs.chemmater.0c01887
80. Van Daele, M.; Griffiths, M. B. E.; Minjauw, M. M.; Barry, S. T.; Detavernier, C.; Dendooven, J. Reaction Mechanism of the Me3AuPMe3-H2 Plasma-Enhanced ALD Process. Phys. Chem. Chem. Phys. 2020 22, 11903-11914.
DOI: 10.1039/C9CP06855D
79. Zanders, D.; Bačić, G.; Leckie, D.; Odegbesan, O.; Rawson, J. M.; Masuda, J. D.; Devi, A.; Barry, S. T. A Rare Low‐Spin Co(IV) Bis(β‐silyldiamide) with High Thermal Stability: Steric Enforcement of a Doublet Configuration. Angew. Chem. Int. Ed. 2020 59, 14138 –14142.
DOI: 10.1002/anie.202001518
Preprint DOI: 10.26434/chemrxiv.11691825.v1
78. (Invited) Land, M. A.; Robertson, K. N.; Barry, S. T. Ligand Assisted Volatilization and Thermal Stability of [(t-BuN=)2MoCl2]2. Organometallics 2020 39, 916–927.
DOI: 10.1021/acs.organomet.9b00578
Preprint DOI: 10.26434/chemrxiv.9413573.v1
77. Hashemi, F. S. M.; Grillo, F.; Ravikumar, V. R.; Benz, D.; Shekhar, A.; Griffiths, M. B. E.; Barry, S. T.; van Ommen, J. R. Thermal Atomic Layer Deposition of Gold Nanoparticles: Controlled Growth and Size Selection for Photocatalysis. Nanoscale 2020 12, 9005-9013.
DOI: 10.1039/D0NR01092H
Preprint DOI: 10.26434/chemrxiv.10262912.v1
76. Moret, J. L. T. M.; Griffiths, M. B. E.; Frijns, J. E. B. M.; Terpstra, B. E.; Wolterbeek, H. T.;  Barry, S. T.; Denkova, A. G.;  van Ommen, J. R. Lutetium coating of nanoparticles by atomic layer deposition. J. Vac. Sci. Technol. A 2020 38, 022414.
DOI: 10.1116/1.5134446
75. Bačić, G.; Rankine, C. D.; Masuda, J. D.; Wann, D. A.; Barry, S. T. Supramolecular Organization and Evaporation of Polymeric Tin Trifluoroacetates. Inorg. Chem. 2020 59, 996–1005.
DOI: 10.1021/acs.inorgchem.9b01308
Preprint DOI: 10.26434/chemrxiv.7586459.v1
74. Griffiths, M. B. E.; Dubrawski, Z. S.; Bačić, G.; Masuda, J. D.; Japanhuge, A.; Zeng, T.; Barry, S. T. Controlling Thermal Stability and Volatility of Organogold(I) Compounds for Vapor Deposition with Complementary Ligand Design. Eur. J. Inorg. Chem. 2019 46 4927–4938.
DOI: 10.1002/ejic.201901087
Preprint DOI: 10.26434/chemrxiv.8038973.v2
73. (Invited) Gordon, P. G.; Bačić, G.; Lopinski, G. P.; Barry, S. T. Workfunction of Al-Doped ZnO films deposited by atomic layer deposition. J. Mater. Res. 2019 1-6.
DOI: 10.1557/jmr.2019.334
Preprint DOI: 10.26434/chemrxiv.5850003.v1
72. Van Daele, M.; Griffiths, M. B. E.; Raza, A.; Minjauw, M. M.; Solano, E.; Feng, J.-Y.; Ramachandran, R. K.; Clemmen, S.; Baets, R.; Barry, S. T.; Detavernier, C.; Dendooven, J. Plasma-Enhanced Atomic Layer Deposition of Nanostructured Gold Near Room Temperature.
ACS Appl. Mater. Interfaces, 2019 11, 37229–37238.
DOI: 10.1021/acsami.9b10848
71. Guay, J.-M.; Lesina, A. C.; Killaire, G.; Gordon, P. G.; Hahn, C.; Barry, S. T.; Ramunno, L.; Berini, P.; Weck, A. Laser-written colours on silver: optical effect of alumina coating. Nanophotonics 2019 8, 807–822.
DOI: 10.1515/nanoph-2018-0202
Preprint DOI: 10.26434/chemrxiv.7364696.v1
70. Chen, B.; Coyle, J. P.; Barry, S. T.; Zaera, F. Rational Design of Metalorganic Complexes for the Deposition of Solid Films: Growth of Metallic Copper with Amidinate Precursors. Chem. Mater. 2019, 31, 1681–1687.
DOI: 10.1021/acs.chemmater.8b05065
69. Rönnby, K.; Buttera, S. C.; Rouf, P.; Barry, S. T.; Ojamäe, L.; Pedersen, H. Methylamines as Nitrogen Precursors in Chemical Vapor Deposition of Gallium Nitride. J. Phys. Chem. C 2019123, 6701–6710.
DOI: 10.1021/acs.jpcc.9b00482
Preprint DOI: 10.26434/chemrxiv.7067687.v1
Rouf, P.; O’Brien, N. J.; Buttera, S. C.; Barry, S. T.; Pedersen, H. Deposition Study of Indium Trisguanidinate as a Possible Indium Nitride Precursor. ChemRxiv Preprint 2018.
Preprint DOI: 10.26434/chemrxiv.7275704.v1
68. Barry, S. T. Group 11 Thin Films by Atomic Layer Deposition. Material Matters 201813(2), 60.
Link: Material Matters
67. Ritala, M.;  Lee, Buriak, J. M.; Lee, H.-B.-R.; Barry, S. T. In Honor of Professor Markku Leskelä, Chem. Mater. 201830, 4469–4474.
DOI: 10.1021/acs.chemmater.8b02742
66. Bačić*, G.; Zanders, D.; Mallick, B.; Devi, A.; Barry, S. T. Designing Stability into Thermally Reactive Plumbylenes. Inorg. Chem. 2018 57, 8218 – 8226.
DOI: 10.1021/acs.inorgchem.8b00719
Preprint DOI: 10.26434/chemrxiv.5972863.v1
65. Barry, S. T. Chemists, It Is Time To Embrace Preprints. Chem. Mater. 201830, 2859 – 2859.
DOI: 10.1021/acs.chemmater.8b01360
64. Guay, J.-M.; Killaire, G.; Gordon, P. G.; Barry, S. T.; Berini, P.; Weck, A. Passivation of plasmonic colors on bulk silver by atomic layer deposition of aluminum oxide. Langmuir 2018, 34, 4998 – 5010.
DOI: 10.1021/acs.langmuir.8b00210
63. Barry, S. T.; Teplyakov, A. V.; Zaera, F. The chemistry of inorganic precursors during the chemical deposition of films on solid surfaces. Acc. Chem. Res. 201851, 800 – 809.
DOI: 10.1021/acs.accounts.8b00012
62. Buttera, S. C.; Rönnby, K.; Pedersen, H.; Ojamäe, L.; Barry, S. T. Thermal study of an indium trisguanidinate as a possible indium nitride precursor. J. Vac. Sci. Technol. A 2018 36, 01A101.
DOI: 10.1116/1.5002634
61. Coyle, J. P.; Sirianni, E. R.; Korobkov, I.; Yap, G. P. .; Dey, G.; Barry, S. T. Study of Monomeric Copper Complexes Supported by N-Heterocyclic and Acyclic Diamino Carbenes. Organometallics 2017, 36, 2800 – 2810.
DOI: 10.1021/acs.organomet.7b00292
P4. Adermann, T.; Loeffler, D.; Limburg, C.; Abels, F.; Wilmer, H.; Gill, M. A.; Griffiths, M. B. E.; Barry, S. T. Process for the Generation of Thin Inorganic Films, Patent Application 2017.
No.: WO/2017/089230.
60. Guay, J.-M.; CalaLesina, A.; Gordon, P. G.; Baxter, J.; Barry, S. T.; Ramunno, L.; Berini, P.; Weck, A. Plasmonic Coloring of Noble Metals Rendered by Picosecond Laser Exposure. Proc. of SPIE 2017, 10094.
DOI: 10.1117/12.2252302
59. Buttera, S. C.; Mandia, D. J.; Barry, S. T. Tris(dimethylamido)aluminum(III): An Overlooked Atomic Layer Deposition Precursor. J. Vac. Sci. Technol. A 2017, 35, 01B128-1 – 01B128-7.
DOI: 10.1116/1.4972469
58. Chen, B.; Duan, Y.; Yao, Y.; Ma; Q.; Coyle, J. P.; Barry, S. T.; Teplyakov, A. V.; Zaera; F. Activation of the Dimers and Tetramers of Metal Amidinate Atomic Layer Deposition Precursors Upon Adsorption on Silicon Oxide Surfaces. J. Vac. Sci. Technol. A 2017, 35, 01B124-1 – 01B124-8.
DOI: 10.1116/1.4971990
57. Zhang, W.; Dey, G.; Mandia, D. J.; Barry, S. T. Using a Vapor-Phase Surfactant to Control Gold Metal Plate Growth. Adv. Mater. Interfaces 20171600864.
DOI: 10.1002/admi.201600864
56. (Invited) Pallister, P. J.; Barry, S. T. Surface Chemistry of Group 11 Atomic Layer Deposition Precursors on Silica using Solid-State Nuclear Magnetic Resonance Spectroscopy. J. Chem. Phys. 2017, 146, 052812.
DOI: 10.1063/1.4968021
55. Yao, Y.; Coyle, J. P.; Barry, S. T.; Zaera, F. Thermal Decomposition of Copper Iminopyrrolidinate Atomic Layer Deposition (ALD) Precursors on Silicon Oxide Surfaces. J. Phys. Chem. C 2016, 120, 14149 – 14156.
DOI: 10.1021/acs.jpcc.6b03818
P3. Barry, S. T.; Wasslen, Y. A. M.; Rahtu, A. H. ALD of Zirconium-containing Films using Cyclopentadienyl Compounds. Patent 2016.
No.: 9273391 (US)
54. (Invited) Koponen, S. E.; Gordon, P. G.; Barry, S. T. Principles of Precursor Design for Vapour Deposition Methods. Polyhedron 2016, 108, 59 – 66.
DOI: 10.1016/j.poly.2015.08.024
53. Barry, S. T.; Coyle, J. P.; Clark, T. J.; Hastie, J. J. M. Group 11 Mono-Metallic Precursor Compounds and Use Thereof in Metal Deposition. Patent 2016.
No.: 9,453,036 (US)
52. Griffiths, M. B. E.; Pallister, P. J.; Mandia, D. J; Barry, S. T. Atomic Layer Deposition of Gold Metal. Chem. Mater. 2016, 28, 44 – 46.
DOI: 10.1021/acs.chemmater.5b04562

2011 – 2015

51. Mandia, D. J.; Zhou, W.; Ward, M. J.; Joress, H.; Sims, J. J.; Giorgi, J. B.; Albert, J.; Barry, S. T. The Effect of ALD-grown Al2O3 on the Refractive Index Sensitivity of CVD Gold-Coated Optical Fiber Sensors. Nanotechnology 2015, 26, 434002, 1 – 12.
DOI: 10.1088/0957-4484/26/43/434002
50. Mandia, D. J.; Zhou, W.; Wells, A.; Albert, J.; Barry, S. T. Metallic Nanocoatings on Optical Fibers as a Sensor Platform. ECS Trans.2015, 69, 171 – 179.
DOI: 10.1149/06907.0171ecst
49. Griffiths, M. B. E.; Koponen, S. E.; Mandia, D. J.; McLeod, J. F.; Coyle, J. P.; Sims, J. J.; Giorgi, J. B.; Sirianni, E. R.; Yap, G. P. A.; Barry, S. T. Surfactant Directed Growth of Gold Metal Nanoplates by Chemical Vapor Deposition. Chem. Mater. 2015, 27, 6116 – 6124.
DOI: 10.1021/acs.chemmater.5b02712
48. Pallister, P. J.; Buttera, S. C.; Barry, S. T. Self-seeding gallium oxide nanowire growth by pulsed chemical vapour deposition. Phys. Status Solidi A 2015, 212, 1514 – 1518.
DOI: 10.1002/pssa.201532275
47. Zhou, W.; Mandia, D. J.; Barry, S. T.; Albert, J. Absolute Near-Infrared Refractometry With a Calibrated Tilted Fiber Bragg Grating. Opt. Lett. 2015, 40, 1713 – 1716.
DOI: 10.1364/OL.40.001713
46. (Invited) Mandia, D. J.; Zhou, W.; Albert, J.; Barry, S. T. Chemical Vapor Deposition on Optical Fibers: Tilted Fiber Bragg Gratings as Real-Time Sensing Platforms. Chem. Vap. Deposition 2015, 21, 4 – 20.
DOI: 10.1002/cvde.201400059
45. (Invited) Gordon, P. G.; Kurek, A.; Barry, S. T. Trends in Copper Precursor Development for CVD and ALD Applications. ECS JSS 2015, 4, N3188 – N3197.
DOI: 10.1149/2.0261501jss
44. Huynh, K.; Laneman, S. A.; Laxman, R.; Gordon, P. G.; Barry, S. T. New Zr-containing precursors for the atomic layer deposition of ZrO2J. Vac. Sci. Technol. A 2015, 33, 013001-1 – 013001-4.
DOI: 10.1116/1.4901454
43. Zhou, W.; Mandia, D. J.; Barry, S. T.; Albert J. Anisotropic Effective Permittivity of an Ultrathin Gold Coating on Optical Fiber in air, water and saline solutions. Opt. Express 2014, 22, 31665 – 31676.
DOI: 10.1364/OE.22.031665
P2. Barry, S. T.; Wasslen, Y. A. M.; Rahtu, A. H. ALD of metal-containing films using cyclopentadienyl compounds. Patent 2014.
No.: 8795771 (US)
42. Hagen, D. J.; Povey, I.; Rushworth, S.; Wrench, J. S.; Keeney, L.; Schmidt, M.; Petkov, M.; Barry, S. T.; Coyle J. P.; Pemble, M. E. Atomic Layer Deposition of Cu Using a Carbene-Stabilized Cu (I) Silylamid. J. Mater. Chem. C 2014, 2, 9205 – 9214.
DOI: 10.1039/C4TC01418A
41. Mandia, D. J.; Zhou, W.; Ward, M. J.; Joress, H.; Giorgi, J. B.; Gordon, P. G.; Albert, J.; Barry, S. T. Chemical Vapor Deposition of Anisotropic Ultrathin Gold Films on Optical Fibers: Real-Time Sensing by Tilted Fiber Bragg Gratings and Use of a Dielectric Pre-Coating. Proc. SPIE 9288, Photonics North 2014, 92880M-1 – 92880M-10.
DOI: 10.1117/12.2075136
40. (Invited) Kurek, A.; Gordon, P. G.; Karle, S.; Devi, A.; Barry, S. T. Recent Advances Using Guanidinate Ligands for Chemical Vapour Deposition (CVD) and Atomic Layer Deposition (ALD) Applications. Aust. J. Chem. 2014, 67, 989 – 996.
DOI: 10.1071/CH14172
39. Zhou, W.; Mandia, D. J.; Griffiths, M. B. E.; Barry, S. T.; Albert, J. Anomalous refractive index of ultrathin gold nanoparticle film coated on tilted fiber Bragg grating. Proc. SPIE 9157, 23rd International Conference on Optical Fibre Sensors 2014, 9157, 91573Y-1 – 91573Y-4.
DOI: 10.1117/12.2053091
38. Pallister, P. J.; Buttera, S. C.; Barry, S. T.; Quantitative Surface Coverage Calculations via Solid-State NMR for Thin Film Depositions: A Case Study for Silica and a Gallium Amidinate. J. Phys. Chem. C 2014, 118, 1618 – 1627.
DOI: 10.1021/jp4102674
37. Zhou, W.; Mandia, D. J; Griffiths, M. B. E.; Barry, S. T.; Albert, J.; Effective Permittivity of Ultrathin Chemical Vapor Deposited Gold Films on Optical Fibers at Infrared Wavelengths. J. Phys. Chem. C 2014, 118, 670 – 678.
DOI: 10.1021/jp410937f
36. Coyle, J. P.; Gordon, P. G.; Wells, A. P.; Mandia, D. J.; Sirianni, E. R.; Yap, G. P. A.; Barry, S. T. Thermally Robust Gold and Silver Iminopyrrolidinates for Chemical Vapour Deposition of Metal Films. Chem. Mater. 2013, 25, 4566 – 4573.
DOI: 10.1021/cm402658c
35. Kim, T.; Yao, Y.; Coyle, J. P.; Barry, S. T.; Zaera, F. Thermal Chemistry of Cu(I)-Iminopyrrolidinate and Cu(I)-Guanidinate Atomic Layer Deposition (ALD) Precursors on Ni(110) Single-Crystal Surfaces. Chem. Mater. 2013, 25, 3630 – 3639.
DOI: 10.1021/cm401707h
34. (Invited) Mandia, D. J.; Griffiths, M. B. E.; Zhou, W.; Gordon, P. G.; Albert, J.; Barry, S. T. In Situ Deposition Monitoring by a Tilted Fiber Bragg Grating Optical Probe: Probing Nucleation in Chemical Vapour Deposition of Gold. Physics Procedia 201346, 12 – 20.
DOI: 10.1016/j.phpro.2013.07.040
33. Coyle, J. P.; Dey, G.; Sirianni, E. R.; Kemell, M. L.; Yap, G. P. A.; Ritala, M.; Leskelä, M.; Elliott, S. D.; Barry, S. T. Deposition of Copper By Plasma-Enhanced Atomic Layer Deposition Using a Novel N-Heterocyclic Carbene Precursor. Chem. Mater. 2013, 25, 1132 – 1138.
DOI: 10.1021/cm400215q
32. (Invited) Barry, S. T. Amidinates, Guanidinates and Iminopyrrolidinates: Understanding Precursor Thermolysis to Design a Better Ligand. Coord. Chem. Rev. 2013, 257, 3192 – 3201.
DOI: 10.1016/j.ccr.2013.03.015
31. Coyle, J. P.; Pallister, P. J.; Kurek, A.; Sirianni, E. R.; Yap, G. P. A.; Barry, S. T. Copper Iminopyrrolidinates: A Study of Thermal and Surface Chemistry. Inorg. Chem. 2013, 52, 910 – 917.
DOI: 10.1021/ic3021035
30. Zhou, W.; Mandia, D. J.; Griffiths, M. B. E.; Bialiayeu, A.; Zhang, Y.; Gordon, P. G.; Barry, S. T.; Albert, J. Polarization-Dependent Properties of the Cladding Modes of a Single Mode Fiber Covered with Gold Nanoparticles. Opt. Express 2013, 21, 245 – 255.
DOI: 10.1364/OE.21.000245
29. Coyle, J. P.; Kurek, A.; Pallister, P. J.; Sirianni, E. R.; Yap, G. P. A.; Barry, S. T. Preventing Thermolysis: Precursor Design for Volatile Copper Compounds. Chem. Commun. 2012, 48, 10440 – 10442.
DOI: 10.1039/C2CC35415B
28. Gordon, P. G.; Baribeau, R.; Barry, S. T. Goniocolorimetric Study of Aluminum Oxide Films Deposited by Atomic Layer Deposition. Thin Solid Films 2012, 520, 245 – 255.
DOI: 10.1016/j.tsf.2011.10.016
27. Gordon, P. G.; Ward, M. J.; Heikkila, M. J.; Monillas, W. H.; Yap, G. P. A.; Ritala, M.; Leskalä, M.; Barry, S. T. Chemical Vapour Deposition of In2O3 Thin Films from a tris-Guanidinate Indium Precursor. Dalton Trans. 2011, 40, 9425 – 9430.
DOI: 10.1039/C1DT10877H
26. Barry, S. T.; Kurek, A. Metal-Assisted Chemical Etching Using Sputtered Gold: A Simple Route to Black Silicon. Sci. Technol. Adv. Mater. 2011, 12, 045001-1 – 04500-4.
DOI: 10.1088/1468-6996/12/4/045001
25. Whitehorne, T. J. J.; Coyle, J. P.; Mahmood, A.; Monillas, W. H.; Yap, G. P. A.; Barry, S. T. Group 11 Amidinates and Guanidinates: Potential Precursors for Vapour Deposition. Eur. J. Inorg. Chem. 2011, 21, 3240 – 3247.
DOI: 10.1002/ejic.201100262
24. (Invited) Shao, L.-Y.; Coyle, J. P.; Barry, S. T.; Albert, J. Anomalous permittivity and plasmon resonances of copper nanoparticle conformal coatings on optical fibers. Opt. Mater. Express 2011, 1, 128 – 137.
DOI: 10.1364/OME.1.000128

2005 – 2010

23. Demtchenko, S.; McGarry, S.; Gordon, P. G.; Barry, S. T.; Tarr, N. G.Characterization and assessment of a novel hybrid organic/inorganic metal-insulator-semiconductor structure for photovoltaic applications. Proc.SPIE 2010, 7750, 7750-1 7750-9.
DOI: 10.1117/12.871360
22. Wasslen, Y. A.; Kurek, A.; Johnson, P. A.; Pigeon, T. C.; Monillas, W. H.; Yap, G. P. A.; Barry, S. T. Heteroleptic Iminopyrrolidinates of Aluminium. Dalton Trans. 2010, 39, 9046 – 9054.
DOI: 10.1039/C0DT00267D
21. Coyle, J. P.; Johnson, P. A.; DiLabio, G. A.; Barry, S. T.; Muller, J. Gas-Phase Thermolysis of a Guanidinate Precursor of Copper Studied by Matrix Isolation, Time-of-Flight Mass Spectrometry, and Computational Chemistry Inorg. Chem. 2010, 49, 2844 – 2850.
DOI: 10.1021/ic902247w
20. Wasslen, Y. A.; Tois, E.; Haukka, S.; Kreisel, K. A.; Yap, G. P. A.; Halls, M. D.; Barry, S. T. A Family of Heteroleptic Titanium Guanidinates: Synthesis, Thermolysis, and Surface Reactivity. Inorg. Chem. 2010, 49, 1976 – 1982.
DOI: 10.1021/ic902411h
19. Brazeau A. L.; Barry, S. T. Atomic Layer Deposition of Aluminum Oxide Thin Films from a Heteroleptic, Amidinate-Containing Precursor. Chem. Mater. 2008, 20, 7287 – 7291.
DOI: 10.1021/cm802195b
18. Dornan, P.; Rowley, C. N.; Priem, J.; Barry, S. T.; Burchell, T. J.; Woo T. K.; Richeson, D. S. Atom efficient cyclotrimerization of dimethylcyanamide catalyzed by aluminium amide: a combined experimental and theoretical investigation. Chem. Commun. 2008, 3645 – 3647.
DOI: 10.1039/B803732A
17. Ziffle, L. C.; Kenney, A. P.; Barry, S. T.; Müller, J. Thermal fragmentation of the guanidinato aluminum amide precursor [Me2NC(NiPr)2]Al(NMe2)2: An investigation of reactive species by matrix-isolation FTIR spectroscopy and time-of-flight mass spectrometry. Polyhedron 2008, 27, 1832 – 1840.
DOI: 10.1016/j.poly.2008.02.024
16. Coyle, J. P.; Monillas, W. H.; Yap, G. P. A.; Barry, S. T. Synthesis and Thermal Chemistry of Copper (I) Guanidinates. Inorg. Chem. 2008, 47, 683 – 689.
DOI: 10.1021/ic701317y
15. Brazeau, DiLabio, A. L.; G. A.; Kreisel, K. A.; Monillas, W. H.; Yap, G. P. A.; Barry, S. T. Theoretical and experimental investigations of ligand exchange in guanidinate ligand systems for group 13 metals. Dalton Trans. 2007, 30, 3297 – 3304.
DOI: 10.1039/B706044K
14. Brazeau, A. L.; Wang, Z.; Rowley, C. N.; Barry, S. T. Synthesis and Thermolysis of Aluminum Amidinates: A Ligand-Exchange Route for New Mixed-Ligand Systems. Inorg. Chem. 2006, 45, 2276 –  2281.
DOI: 10.1021/ic051856d
13. Kenney, A. P.; Yap, G. P. A.; Richeson, D. S.; Barry, S. T.  The Insertion of Carbodiimides into Al and Ga Amido Linkages. Guanidinates and Mixed Amido-Guanidinates of Aluminum and Gallium. Inorg. Chem. 200544, 2926 – 2933.
DOI: 10.1021/ic048433g
12. Rowley, C. N.; DiLabio, G. A.; Barry, S. T. Theoretical and Synthetic Investigations of Carbodiimide Insertions into Al-CH3and Al-N(CH3)2 Bonds. Inorg. Chem. 2005, 44, 1983 – 1991.
DOI: 10.1021/ic048501z

Seán’s Post-Docs and PhD (12)

11. Li, Z.; Barry, S. T.; Gordon, R. G. Synthesis and Characterization of Copper(I) Amidinates as Precursors for Atomic Layer Deposition (ALD) of Copper Metal. Inorg. Chem. 2005, 44, 1728 – 1735.
DOI: 10.1021/ic048492u

10. Gordon, R. G.; Barry, S. T.; Barton, J. T.; Broomhall-Dillard, R. N. R. Atmospheric pressure chemical vapor deposition of electrochromic tungsten oxide films. Thin Solid Films 2001, 392, 231 – 235.
DOI: 10.1016/S0040-6090(01)01033-1

P1. Gordon, R. G.; Barry, S. T.; Broomhall-Dillard, R. N. R. Liquid sources for cvd of group 6 metals and metal compound. Patent Application 2001.
No.: WO2001066816A1

9. Gordon, R. G.; Barry, S. T.; Broomhall-Dillard, R. N. R.; Wagner, V. A.; Wang, Y. Volatile liquid precursors for the chemical vapor deposition (CVD) of thin films containing tungsten. MRS Proceedings 2001, 612, D9.12/1 – D9.12/6.
DOI:10.1557/PROC-612-D9.12.1

8. Gordon, R. G.; Barry, S. T.; Broomhall-Dillard, R. N. R.; Teff, D. J. Synthesis and solution decomposition kinetics of flash-vaporizable liquid barium beta-diketonates. Adv. Mater. Opt. Electron. 2000, 10, 201 – 211.
DOI: 10.1002/1099-0712(200005/10)10:3/5<201::AID-AMO413>3.0.CO;2-O

7. Barry, S. T.; Gordon, R. G.; Wagner, V. Monomeric chelated amides of aluminum and gallium: volatile, miscible liquid precursors for CVD. MRS Proceedings 1999, 606, 83 – 89.
DOI: 10.1557/PROC-606-83

6. Gordon, R. G.; Barry, S. T.; Broomhall-Dillard, R. N. R.; DiCeglie, N., Jr.; Liu, X.; Teff, D. J. Synthesis and decomposition kinetics of liquid precursors for chemical vapor deposition (CVD) of barium. Proceedings – Electrochemical Society 1999, 98-23, 270 – 279.

5. Gordon, R. G.; Barry, S. T.; Liu, X.; Teff, D. J. Liquid compounds for CVD of alkaline earth metals. MRS Proceedings 1999, 574, 23 – 30.
DOI: 10.1557/PROC-574-23

4. Barry, S. T.; Ruoff, S. A.; Ruoff, A. L. Gallium Nitride Synthesis Using Lithium Metal as a Nitrogen Fixant.Chem. Mater. 1998, 10, 2571 – 2574.
DOI: 10.1021/cm980021g

3. Barry, S. T.; Belhumeur, S.; Richeson, D. S. Thermally-Induced Transformations of Gallium and Indium Alkyl Phosphido Complexes: Dealkylsilylation Routes to MP (M = Ga, In). Organometallics 1997, 16, 3588 – 3592.
DOI: 10.1021/om9701682

2. Barry, S. T.; Richeson, D. S. Preparation and characterization of mixed alkyl amido complexes of gallium. J. Organomet. Chem. 1996, 510, 103 – 108.
DOI: 10.1016/0022-328X(95)05891-R

1. Barry, S. T.; Richeson, D. S. Designated molecular deconstruction: the facile transformation of Ga(N(SiMe3)2)(OSiMe3)2py (py = pyridine) to GaN. Chem. Mater. 1994, 6, 2220 – 2221.
DOI: 10.1021/cm00048a007